Friday, August 27, 2010

New 10 terms

FeRAM
ferroelectric random access memory

DMPC
L-1,2-dimyristoyl-sn-glycero-3-phosphocholine

GID
grazing incidence X-ray diffraction

XR
X-ray reflectivity

PC
phosphocholine

EQE
external quantum efficiency

APO
aryl phosphine oxide

TMOADPO
2-(diphenylphosphoryl)-N-(2-(diphenylphosphoryl)-4-methoxyphenyl)-4-methoxy-N-(4-methoxyphenyl)aniline

HTTA
2-thenoyltrifluoroacetone

Thursday, August 26, 2010

New 10 terms

PA
phosphonic acid

PCRAM
phase change random access memory

DVD
digital versatile disk

VEC
valence-electron concentration

COHP
crystal-orbital Hamilton population

JDOS
joint density of states

PF
Poole-Frenkel

CET
complete erasure time

CD
compact disk

SIL
solid immersion lens

Wednesday, August 25, 2010

New 10 terms

FD
frequency domain

SAFIR
structure-affinity relationship

AHD
aprotic highly dipolar

BC
butylene carbonate

CBILS
carbonate-based ionic liquid synthesis

GyC
glycerol carbonate

LCA
life cycle assessment

LC
lethal concentration

OC
organic carbonate

PC
propylene carbonate

Tuesday, August 24, 2010

New 10 terms

BE
binding energy

PBS
Public Broadcasting Service

NSTA
National Science Teachers Association

ASEE
American Society for Engineering Education

OU
University of Oklahoma

LSI
Laboratory Safety Institute

CHO
Chemical Hygiene Officer

FST
Fusion Science Theater

NRCC
National Registry of Certified Chemists

RSF
respecting sensitivity factor

Monday, August 23, 2010

New 10 terms

SAND
self-assembled nanodielectric

BZT
barium zirconate titanate

PEALD
plasma-enhanced atomic-layer-deposited

CYEPL
cyanoethylpullulan

PPA
poly(parabanic acid)

IBAD
ion beam-assisted deposited

CPB
cross-linked polymer blend

OSC
organic semiconductor

MIM
metal-insulator-metal

ODPA
n-octadecylphosphonic acid

Friday, August 20, 2010

New 10 terms

WIW
within-wafer

PMOS
p-type metal oxide semiconductor

NMOS
n-type metal oxide semiconductor

BPSG
borophosphosilicate glass

BPTEOS
borophosphorous tetraethylorthosilicate

LDIMS
laser desorption ionization mass spectrum

SAMT
self-assembled multilayer

PTAA
polytriarylamine

SC
semiconductor

GD
gate dielectric

Thursday, August 19, 2010

New 10 terms

MOL
middle of the line

STI
shallow trench isolation

ILD
interlevel dielectric

UTSOI
ultrathin silicon-on-insulator

ETSOI
extremely thin silicon-on-insulator

LSOI
local silicon-on-insulator

RMG
replacement metal gate

MuGFET
multigate field effect transistor

LPCVD
low-pressure chemical vapor deposition

WID
within-die

Wednesday, August 18, 2010

New 10 terms

SSL
strong segmentation limit

PEB
poly(ethylene-co-butadiene)

NDP
nonadecylphenol

MSA
methanesulfonic acid

PDP
pentadecylphenol

NR
neutron reflectivity

SLD
scattering length density

DSA
directed self-assembly

IRS
intermediate segmentation region

LWR
line width roughness

Tuesday, August 17, 2010

New 10 terms

ITRS
International Technology Roadmap for Semiconductors

TBTDET
terbutylimidotris(diethylamido)tantalum

SMFD
synchronously modulated flow and draw

AVDP
alternating vapor deposition polymerization

VDP
vapor deposition polymerization

TC
terephthaloyl chloride

PD
p-phenylenediamine

GO
graphite oxide

ARPES
angle-resolved photoemission spectroscopy

WSL
weak segmentation limit

Monday, August 16, 2010

New 10 terms

CDO
carbon-doped oxide

BTESM
bis(triethoxysilyl)methane

BTESE
1,2-bis(triethoxysilyl)ethane

HBPCSO
hyperbranched polycarbosilane

MB
methane-bridged

SEI
Science and Engineering Indicators

ACWA
Assembled Chemical Weapons Alternatives

EWG
Environmental Working Group

PTEC
Physics Teacher Education Coalition Conference

CTEC
Chemistry Teacher Education Coalition

Thursday, August 5, 2010

New 10 terms

BMO
butadiene monoxide

EP
ellipsometric porosimetry

TEFS
triethoxyfluorosilane

DFL
dielectric flash layer

TMSNB
trimethoxysilylnorbornene

TESNB
triethoxysilylnorbornene

TCS
tetra(trimethoxysilylethyl)cyclotetrasiloxane

ILD
interlayer dielectric

OPL
organic planarization layer

PSZ
pure silica zeolite

Monday, August 2, 2010

New 10 terms

DMTA
mechanical thermal analysis

MELT
modified edge lift-off test

BTESP
1,2-bis(trialkoxysilyl)ethane

FPB
four-point bending

DCB
double cantilever beam

HBCSO
hyperbranched carbosiloxane

HBPCS
hyperbranched polycarbosilane

USHC
unsaturated hydrocarbon

BCHD
bicyclohexadiene

CPO
cyclopentene oxide